fpga吧
关注: 22,051 贴子: 69,270

fpga可编程逻辑门阵列

  • 目录:
  • 程序设计及人工智能
  • 20
    坐标某二本,这学期大四了,想着下半年面临找工作的压力,刚好刚开学前两周是实践课,请的是某FPGA公司的技术人员给我们上课,跟单片机电路那些一样,写代码和下板操作。闲暇之余,这个老师跟我们说现在缺FPGA技术人员,学好了起步1w-1.2w一个月。我们班6个人就跟着他来到机构学习了,到今天学了一个月,感觉我真的没天赋,最多就能勉强听懂他做过的,叫我自己做我真的完全没思路,写两句话就不知道写啥了。有一天我回顾我高考和大学才
    乖张龙王 01:58
  • 4
    就什么情况下是不得不用ip核的。例如一个hdmi口或者vga是不是就完全可以自己写,但是如果我想连摄像头或者网线就不得不找ip核了。可能问的太蠢了别骂我😭
  • 0
    我想烧录,但是没有显示接口
  • 0
  • 6
    本人目前211在读研一,飞行器专业,听今年找工作的学长说fpga很好找工作,我想问问目前零基础入行来得及吗
  • 0
    如题有意私聊加v
    a2389374140 10-26
  • 0
    想问下这个代码的时序图怎么画
  • 2
    大佬们,想要对例程里的bmp图片显示和7725照相机还有sobel结合一下,就是读取sd卡的bmp图片,如何对其进行sobel边缘检测并显示,再将处理过的图片保存在sd卡里,现在已经可以将sobel处理过的图片显示在lcd屏上了(在原理图连线:视频流从vdma出来后进行sobel处理,之后直接将处理后的视频流分别传入lcd和vdma的slave-s2mm),但是这样无法将lcd所显示的处理过的图片保存到sd卡,利用拍照功能只能得到缓存中上下颠倒的未处理图片,有大佬可以给个思路怎么
    Ccccc 10-25
  • 1
    已经用python训练好了一个faster rcnn模型,想部署在pynq上面实现加速,该怎么处理?有大佬指导一下吗?可有偿
    Ccccc 10-25
  • 5
    我本来是用FPGA提供时序驱动显示屏的,图片是pc端传输的,用到了fpga上的ddr。现在需要换成zynq,arm用于代替pc端传输图片,并且用来图像处理,fpga功能不变,还是提供时序,就这个情况而言,是买共用ddr的好,还是独立的好呢,不考虑价格
    Ccccc 10-25
  • 3
    流水灯,只有第一个灯常亮,哪里出了问题?用的别人的程序,按照流程一步一步下载到板子上,但是只有一个灯在亮着,而且其他程序,也没反应。
    浪多多 10-24
  • 2
    型号 step-mxo2-c 本人大二要学这个东西,然后学校给发的板子。 我在小脚丫盘里自带的官网里看到这个版本的小脚丫下载程序的时候直接把.jed文件拖入即可,但我的小脚丫复制黏贴完以后会闪退一下,然后再打开,里面并没有相应的文件,板子也没有led灯交替闪烁 这是怎么回事,求大佬教 官网网址我会贴到评论
    ☺️ 10-24
  • 20
    鼠鼠今天接了个面试。他们大概内容是,郑州中科集成研究院,然后把我们拉去那培训个3,4个月的fpga。然后就说帮忙找工作吧(不知道是安排还是怎样),月薪不低于8k(会签合同),低于8k不找你要培训费,然后培训会从你入职后的两三个月的月薪扣。 我想问一下,这是不是纯纯坑人的培训机构啊?我一开始还以为是直接进一个小公司了呢
  • 10
    EDA课程设计,用quartus II、Altera FPGA硬件开发板实现在六个数码管上滚动显示自己的学号(六位)。 要求:(1)每隔一定时间间隔循环移位一次; (2)用按键控制左移或右移; (3)显示间隔可由按键或开关选择为1秒,2秒,3秒和4秒。编写一下用Quartus II软件写的程序代码,其中FPGA是AX301。
  • 10
    进行EDA课程设计,用quartus II、Altera FPGA硬件开发板实现在六个数码管上滚动显示自己的学号(六位)。 要求:(1)每隔一定时间间隔循环移位一次; (2)用按键控制左移或右移; (3)显示间隔由按键选择为1秒,2秒,3秒和4秒。其中FPGA是AX301,型号为EP4CE6F17C8,数码管为6位、八段的共阳极数码管,有四个独立按键,quartus II用的是vhdl语言,学号设定为120201。
  • 394
    资料:冈萨雷斯数字图像处理MATLAB版.中文版(第二版),需要的留下邮箱。 图像边缘检测算法体验步骤(Photoshop,Matlab) 1. 确定你的电脑上已经安装了Photoshop和Matlab 2. 使用手机或其他任何方式,获得一张彩色图像(任何格式),建议图像颜色丰富,分辨率比较高,具有比较明显的图像边界(卡通图像,风景图像,桌面图像) 3. 将图像保存到一个能够找到的目录中,例如img文件夹(路径上没有汉字) 4. 启动Photoshop,打开img文件夹中的图像 5. 在工具箱中
  • 0
    找了半天不知道错哪,我用的明明是modulesim,但是quartus说我给的路径是modulesim alter的
  • 66
    目前普通211本硕,电子信息(控制工程)专业,实验室电气专业和控制专业的都有,电气的大部分走电网,控制的走硬件,上一届师兄们3个ic设计1个fpga2个验证,工资都还可以。本人自学到现在,进度很慢,在网上看野火正点等教学视频,很多地方不懂,更重要的一点是搞不到项目,转眼间已经5月了,有点难受
  • 0
    我想走FPGA或者数字ic,我现在本科,未来哪个行情会好点啊(4年后的样子吧),如果我现在自学应该学FPGA的基础然后自己做的东西等研究生在到ic,还是现在不管FPGA直接看ic的课程呢?
  • 0
    leader需要研究生,本科生也可以,办公室在杭州,有做过的人留言啊
    ACEFAME 10-18
  • 3
    大佬们 本人某双非本科大二 在犹豫以后的道路 对于我这个本科双非(会考研)来说是FPGA好些还是嵌入式好些啊
    1433是小 10-17
  • 9
    想问一下各位大佬,我在配置mig ip核的时候,使用的是2017.4版本的vivado,一直加载不出来。后来有人告诉我说是这个版本的vivado不支持win11,想问一下大佬vivado哪个版本支持win11配置mig ip核
    1433是小 10-17
  • 1
    有没有精通FPGA图像处理方面的大佬
  • 1
    本人自学一段时间FPGA,想问一下各位大佬,要准备到什么地步才能找到实习,需不需要有项目,项目要准备到什么地步
    最爱kaik 10-14
  • 2
    想问一下,如果打算用国产fpga开发,哪个公司的fpga开发资料详细一点,多一点,然后ip核好一些?高云?紫光?还是安陆呢?或者有什么其他的国产fpga厂商推荐呢?#fpga#国产#
    最爱kaik 10-14
  • 4
    楼主没什么条件,来去300的高铁票也是来之不易,去没问题就是怕去了一直念广告,有没有有经验的老哥说说
    Kikyoko 8-24
  • 1
    想通过PCIe进行数据回放和处理,先通过PCIe(调用xDMA的IP核)完成了上位机到第一级FPGA板卡的数据传输,此时显示H2C和C2H通道建立成功。再通过Aurora建立第一级FPGA板卡到第二级FPGA板卡的数据传输,经测试,两块板卡的Aurora自回环都没有问题,两块板卡间通过Aurora传数也没问题。把前面的步骤联合起来进行:通过PCIe将数据传输到第一级板卡后,第一级板卡再通过Aurora将数据发送给第二级板卡,此时却显示H2C和C2H通道建立不成功,且一直在初始化H2C通道
  • 3
    FPGA属于什么专业啊,考研想往这方面发展应该考什么专业啊。
  • 1
    rt,Fpga高云音频方向,rtl图连线没问题,代码编译没问题,为什么上板验证回路都不通唉😔😔😔😔😔😔😔用的是wm8960,问题出在给adc采集的数据加滤波,然后因为是补码就转换了一下,结果直接不通了孩子要玉玉了,有没有大佬能指点一下啊,滤波搞了一周了还没进展
  • 3
    有没有哪位大佬遇到过这种情况,reg和wire标识符无法被识别
    1433是小 10-10
  • 0
    用位置映射法和信号名映射法坐一个1位全加器,有会的吗???
  • 19
    目前从事嵌入式软件 由于最近公司里带我的老员工要离职了,老板也越来越抓的紧而且薪水一般我自己也不想继续呆在现在的公司自己学习了一段时间fpga,搓过uart、i2c协议,同步异步fifo,对sdram这些也应用过自己跟着教程做了两个项目,一个是摄像头采集图像边沿检测还有一个是32位RISC-V指令集编写投了几天简历都是说我经验不足,是继续呆着 换其他嵌入式 还是转fpga#fpga[lbk]话题[rbk]# #fpga就业[lbk]话题[rbk]#
  • 9
    各位大佬,我在用FPGA时候遇到了一些问题。用的是高云FPGA的GW1NS-4C。具体问题是,我在FPGA上例化了一个ARM硬核IP,在给ARM输入复位信号的时候出现的问题。本来计划是用例化的PLL输出的lock信号给ARM当成复位信号,如果直接将LOCK输入,那ARM运行没有什么问题。但是如果把lock信号延时几个时钟周期后,再输入给ARM,ARM就会一直卡死,同时FPGA上其他的逻辑也会出现问题。请问这是什么原因呢。我才刚接触FPGA soc这方面,所以没有什么头绪,请各位大佬批
  • 3
    为什么用高云ip内核的fir滤波器,加入coe文件,为什么一直说文件错误。进制我也改了。
    晓落叶 9-28
  • 1
    有没有老师知道2018.3联合10.6c 报错是什么情况,单独去运行compile.bat能跑通,综合能跑通,vivado自带仿真也能跑通,但是太慢了
  • 6
    以太网回环实验 ,代码是野火的,整体仿真也成功了,为什么板子和电脑一直连不上啊
  • 17
    本人是纯小白,勿喷。请问会了zynq开发就会纯fpga开发了吗?两者在fpga开发部分有差异吗?如果会了ZYNQ平台的FPGA部分,是不是就代表会了纯FPGA开发?有接触过的大神麻烦回复一下,感谢
  • 0
    有没有哪些是纯FPGA能做,ZYNQ却做不了的?目前国内公司大部分是使用ZYNQ居多?还是纯FPGA+MCU居多?
  • 1
    支持ADC 和 DAC 模块的FPGA开发板有什么? 各位大佬,我是完全的萌新。 最近写硕士论文题目有FPGA方向的东西。需要将simulink里面的模型搬到FPGA上。里面需要将模拟信号转成数字信号。所以需要这个功能。 现在想买一块板子,请问vivado的板子,有符合我需求的吗? 另外想问问什么视频学习资料比较好入门?感谢各位!
  • 0
  • 1
    我的Q一直没办法新建项目怎么办,到最后一步就变成了无响应,已经重新下载好几遍了
    1433是小 9-22
  • 18
    这是我的代码和报错
    铜鼓 9-20
  • 6
    想尽量靠近matlab的结果。 比如说8路情况下,产生正线性调频,8路输出看成一组; 如果8路看成整体累加调频斜率的话,那如果调频斜率过大的话组内变频就不能实现; 如果8路各自累加调频斜率的话,感觉写起来很复杂,而且用了很多组合逻辑。。 想问下实际情况下一般是怎么实现的? 纯新手,刚开始学习FPGA,希望有大佬能不吝赐教。◕‿◕。
    晓落叶 9-19
  • 2
    皓月闪烁,星光闪耀,中秋佳节,美满快乐!祝福阖家团团圆圆! #传统节日#

  • 发贴红色标题
  • 显示红名
  • 签到六倍经验

赠送补签卡1张,获得[经验书购买权]

扫二维码下载贴吧客户端

下载贴吧APP
看高清直播、视频!

本吧信息 查看详情>>

小吧:小吧主共2

会员: FPGA新手

目录: 程序设计及人工智能